半導体製造装置とは?不足やこれからなど、専門家が解説!

更新日

投稿日

IC

 

半導体産業は、COVID19の影響がないようです。微細加工技術のトップランナーTSMCは、最先端露光装置EUVを用いた5ナノの量産が立ち上がっています。また、2ナノの開発が本格化、2021年後半に、リスク生産が開始されたようです。半導体の微細化は止まるどころか、むしろ加速しています。では、なぜ半導体不足が続いているのでしょうか。

 

2021年早々問題化した世界的半導体不足は、その後深刻化し、各種電子機器がつくれない状態が続いています。そのため世界中の半導体工場がフル稼働で大増産を行うとともに、多大な設備投資が始まっています。サムスン電子とSK hynixが10年間で約50兆円、インテルが3年間で約2.7兆円に加えて10年間で欧州に約10兆円を投資します。TSMCが3年間で約11兆円投資するのです。

 

そして、各国が、自国内の半導体製造の供給網を強化しようと躍起になっています。これは、市場ニーズを無視した各企業と国のメンツをかけた不毛な投資合戦です。その結果、2021年だけで12兆円が投資され、29工場が着工されました。それらの工場が稼働する2023~24年頃には、供給が需要を上回る可能性もあります。

 

今回は、このような背景を踏まえて、半導体とは、半導体工程、半導体製造装置の解説です。

 

1.  半導体とは

 

半導体デバイスはウェハー上に数百工程からなる「前工程=ウェハー工程」によりデバイス構造を作りこみ、その後チップに切り分けてパッケージに組み立てる「後工程=組み立て工程」により製品となります。

 

半導体とは、外形が小型で体積・面積あたりの実装効率がよく、多くの回路・機能を内蔵して、大量のデータを短時間で高精度に処理できます。これらを満たしながら相対的に消費電力と発熱が小さく、独自の処理方式を実現できる仕組みが内蔵されています。

 

これらの全てを満すのは困難です。しかしこのいくつかを満足するものが半導体です。半導体を活用することでライバルに先駆けて新機能や性能改善で製品価値を増すことも、部品コスト削減や小型化により製品コストを抑えることも可能となります。

 

2.半導体工程とは

 

(1)前工程

 

半導体開発は一般的な製造と同様に設計から始まります。半導体チップは、集積度の高い集積回路で、チップの上から回路を見ても大変複雑です。ウェハーのチップ上に数十億個のトランジスタが集積されていて、1個のトランジスタは、光学顕微鏡でさえ見ることができません。それを観察するには電子顕微鏡が必要です。

 

回路パターンを形成するには多くの工程を通ります。多結晶シリコンのパターンを描くだけでも多くの工程を通ります。その後はソースとドレインの領域を形成するためのヒ素のイオン注入、アニール、電極形成などの工程を経てトランジスタ1個の工程が終わります。

 

実際の製品では、素子同士を分離するための絶縁膜を形成しなければなりません。また、ソースやドレインの領域形成、配線は10層程度の多層配線もあります。最先端の半導体工程では、3次元構造も形成しなければならないため、工程はさらに複雑です。このため生産投入から製品の完成まで前工程で1ヶ月から2ヶ月くらいかかります。

 

ウェハーは半導体製造工程のシリコン素材で出来ているウェハーに電気回路を作る工程です。この工程は、クリーンルームでの業務です。

 

クリーンルームとは、汚れやほこりなどが一切ない部屋を指します。クリーンルームでの業務内容は様々ですが、ここで作業を行う人はクリーンスーツの着用が求められます。半導体製造は精密機器で、ほこりや汚れが原因で不良品となります。ちなみにここで作業をする際には化粧がNGの場合もあります。

 

(2)後工程

 

前工程に続いて後工程と呼ばれるパッケージング工程があります。パッケージングは言葉通り、半導体を組み立てる工程です。前工程で行ったウェハー処理の電気回路をチップに切断する作業・ワイヤボンディング・樹脂を入れる工程です。組み立て工程もさまざまな装置を使います。ダイシング装置(ウェハーを一つ一つのチップにする装置)、ワイヤボンディング装置などが主な装置です。


組み立て工程が終わると検査測定をして不具合や外観に問題がないかなどを確認します。

 

3.半導体製造装置とは

半導体製造装置とは、半導体デバイスや集積回路(IC)を製造するための高度な技術を使用した機器です。

参考:半導体製造装置のメーカー(一部抜粋:企業名アルファベット順)

企業名 主な製品・技術
Applied Materials アメリカ CVD, PVD, エッチング, イオン注入装置等
ASML オランダ フォトリソグラフィ装置(EUVリソグラフィを含む)
KLA アメリカ 製程制御装置、検査装置、計測装置
Lam Research アメリカ エッチング, デポジション装置
SCREEN Holdings 日本 ウェハークリーニング装置、フォトリソグラフィ装置等
Tokyo Electron Limited (TEL) 日本 フォトリソグラフィ装置, CVD, エッチング, デポジション装置

 

データセンターチップや5Gの需要が急増したことを受け、ロジック/ファウンドリ分野で旺盛な設備投資が行われています。VLSIresearchは、2020年の半導体製造装置メーカー売上高ランキングトップ15を発表しました。

 

トップは米Applied Materials(AMAT)が堅持しました。過去に一度だけASMLにトップの座を奪われましたが、それ以外は長年にわたってトップの座に君臨し続けています。しかし、今後、IntelがEUVを7ナノ CPUの製造で多用し、新たに建設するアリゾナ州の2つのファブにもEUV露光装置を導入することを宣言しており、1台200億円規模とされるEUV露光装置がTSMC、Samsung Electronics、SK Hynixに続き、Intelでも多数納入されるようになれば、売上高でASMLがAMATを抜き、トップに立つ可能性も出てきます。

 

2019年はLam Researchを交わして東京エレクトロンが3位に入っていましたが、2020年はLamが抜き返し、再び3位となり、変わって東京エレクトロンが4位でした。

 

4.半導体製造装置の長期予想

 

微細化の動きについては、過去と異なる動きが出ています。ロジックファウンドリの最大手、TSMCの線幅別売上比率は2017年1-3月期までの主力は、16/20ナノと28ナノで、2017年から10ナノの量産が始まる見通しです。最先端の設備投資は2016年から7ナノになっています。

 

しかしロジックファウンドリの微細化が進行するサイクルが従来の2年から2.5~3年程度に延長してきていて、7ナノから5ナノへの移行が先延ばしになると言われ始めています。5ナノ投資が2019年以降になり、量産は2021年以降になるという見方です。ちなみに、TSMCの5ナノは20...

IC

 

半導体産業は、COVID19の影響がないようです。微細加工技術のトップランナーTSMCは、最先端露光装置EUVを用いた5ナノの量産が立ち上がっています。また、2ナノの開発が本格化、2021年後半に、リスク生産が開始されたようです。半導体の微細化は止まるどころか、むしろ加速しています。では、なぜ半導体不足が続いているのでしょうか。

 

2021年早々問題化した世界的半導体不足は、その後深刻化し、各種電子機器がつくれない状態が続いています。そのため世界中の半導体工場がフル稼働で大増産を行うとともに、多大な設備投資が始まっています。サムスン電子とSK hynixが10年間で約50兆円、インテルが3年間で約2.7兆円に加えて10年間で欧州に約10兆円を投資します。TSMCが3年間で約11兆円投資するのです。

 

そして、各国が、自国内の半導体製造の供給網を強化しようと躍起になっています。これは、市場ニーズを無視した各企業と国のメンツをかけた不毛な投資合戦です。その結果、2021年だけで12兆円が投資され、29工場が着工されました。それらの工場が稼働する2023~24年頃には、供給が需要を上回る可能性もあります。

 

今回は、このような背景を踏まえて、半導体とは、半導体工程、半導体製造装置の解説です。

 

1.  半導体とは

 

半導体デバイスはウェハー上に数百工程からなる「前工程=ウェハー工程」によりデバイス構造を作りこみ、その後チップに切り分けてパッケージに組み立てる「後工程=組み立て工程」により製品となります。

 

半導体とは、外形が小型で体積・面積あたりの実装効率がよく、多くの回路・機能を内蔵して、大量のデータを短時間で高精度に処理できます。これらを満たしながら相対的に消費電力と発熱が小さく、独自の処理方式を実現できる仕組みが内蔵されています。

 

これらの全てを満すのは困難です。しかしこのいくつかを満足するものが半導体です。半導体を活用することでライバルに先駆けて新機能や性能改善で製品価値を増すことも、部品コスト削減や小型化により製品コストを抑えることも可能となります。

 

2.半導体工程とは

 

(1)前工程

 

半導体開発は一般的な製造と同様に設計から始まります。半導体チップは、集積度の高い集積回路で、チップの上から回路を見ても大変複雑です。ウェハーのチップ上に数十億個のトランジスタが集積されていて、1個のトランジスタは、光学顕微鏡でさえ見ることができません。それを観察するには電子顕微鏡が必要です。

 

回路パターンを形成するには多くの工程を通ります。多結晶シリコンのパターンを描くだけでも多くの工程を通ります。その後はソースとドレインの領域を形成するためのヒ素のイオン注入、アニール、電極形成などの工程を経てトランジスタ1個の工程が終わります。

 

実際の製品では、素子同士を分離するための絶縁膜を形成しなければなりません。また、ソースやドレインの領域形成、配線は10層程度の多層配線もあります。最先端の半導体工程では、3次元構造も形成しなければならないため、工程はさらに複雑です。このため生産投入から製品の完成まで前工程で1ヶ月から2ヶ月くらいかかります。

 

ウェハーは半導体製造工程のシリコン素材で出来ているウェハーに電気回路を作る工程です。この工程は、クリーンルームでの業務です。

 

クリーンルームとは、汚れやほこりなどが一切ない部屋を指します。クリーンルームでの業務内容は様々ですが、ここで作業を行う人はクリーンスーツの着用が求められます。半導体製造は精密機器で、ほこりや汚れが原因で不良品となります。ちなみにここで作業をする際には化粧がNGの場合もあります。

 

(2)後工程

 

前工程に続いて後工程と呼ばれるパッケージング工程があります。パッケージングは言葉通り、半導体を組み立てる工程です。前工程で行ったウェハー処理の電気回路をチップに切断する作業・ワイヤボンディング・樹脂を入れる工程です。組み立て工程もさまざまな装置を使います。ダイシング装置(ウェハーを一つ一つのチップにする装置)、ワイヤボンディング装置などが主な装置です。


組み立て工程が終わると検査測定をして不具合や外観に問題がないかなどを確認します。

 

3.半導体製造装置とは

半導体製造装置とは、半導体デバイスや集積回路(IC)を製造するための高度な技術を使用した機器です。

参考:半導体製造装置のメーカー(一部抜粋:企業名アルファベット順)

企業名 主な製品・技術
Applied Materials アメリカ CVD, PVD, エッチング, イオン注入装置等
ASML オランダ フォトリソグラフィ装置(EUVリソグラフィを含む)
KLA アメリカ 製程制御装置、検査装置、計測装置
Lam Research アメリカ エッチング, デポジション装置
SCREEN Holdings 日本 ウェハークリーニング装置、フォトリソグラフィ装置等
Tokyo Electron Limited (TEL) 日本 フォトリソグラフィ装置, CVD, エッチング, デポジション装置

 

データセンターチップや5Gの需要が急増したことを受け、ロジック/ファウンドリ分野で旺盛な設備投資が行われています。VLSIresearchは、2020年の半導体製造装置メーカー売上高ランキングトップ15を発表しました。

 

トップは米Applied Materials(AMAT)が堅持しました。過去に一度だけASMLにトップの座を奪われましたが、それ以外は長年にわたってトップの座に君臨し続けています。しかし、今後、IntelがEUVを7ナノ CPUの製造で多用し、新たに建設するアリゾナ州の2つのファブにもEUV露光装置を導入することを宣言しており、1台200億円規模とされるEUV露光装置がTSMC、Samsung Electronics、SK Hynixに続き、Intelでも多数納入されるようになれば、売上高でASMLがAMATを抜き、トップに立つ可能性も出てきます。

 

2019年はLam Researchを交わして東京エレクトロンが3位に入っていましたが、2020年はLamが抜き返し、再び3位となり、変わって東京エレクトロンが4位でした。

 

4.半導体製造装置の長期予想

 

微細化の動きについては、過去と異なる動きが出ています。ロジックファウンドリの最大手、TSMCの線幅別売上比率は2017年1-3月期までの主力は、16/20ナノと28ナノで、2017年から10ナノの量産が始まる見通しです。最先端の設備投資は2016年から7ナノになっています。

 

しかしロジックファウンドリの微細化が進行するサイクルが従来の2年から2.5~3年程度に延長してきていて、7ナノから5ナノへの移行が先延ばしになると言われ始めています。5ナノ投資が2019年以降になり、量産は2021年以降になるという見方です。ちなみに、TSMCの5ナノは2020年着工、2022年量産開始と報道されています。そして、ロジックファウンドリ全体では、7ナノの量産規模が急速に拡大すると予想されています。

 

また、12ナノ以上の線幅については、減少せずに安定的な生産が続くと予想されています。これは、半導体需要の裾野が広がっているためです。16/20ナノのような先端分野だけでなく、線幅が20ナノ以上のものも生産は安定しています。最先端の製造ラインは、高級スマートフォンやデータセンター用サーバーに搭載するCPUの生産に使いますが、車載半導体の量産品は40ナノであり、中心は150ナノ以上です。

 

パワー半導体やディスクリート半導体の需要も増えています。半導体需要が増え集積回路が高度化すると、周辺回路の需要も増え連動してその中身も高度化します。このように、メモリー、ロジックの大容量高速化が周辺回路の高度化を引き起こし、半導体全般と電子部品全般の需要が増加する傾向が出ているようです。

 

微細化サイクルの鈍化はあるとしても、体製造装置メーカーは7ナノで収益を上げ、その後5ナノに移行するというシナリオを描くことができます。5ナノ移行前に調整期を迎えることもありますが、5G、電気自動車、完全自動運転の普及など大きな半導体需要を生み出しそうな分野がこれからもあります。このように、今後の半導体需要は、短期の調整はあっても半導体製造装置は長期の成長が予想されるのです。

 

 

   続きを読むには・・・


この記事の著者

大岡 明

改善技術(トヨタ生産方式(TPS)/IE)とIT,先端技術(IoT,IoH,xR,AI)の現場活用を現場実践指導、社内研修で支援しています。

改善技術(トヨタ生産方式(TPS)/IE)とIT,先端技術(IoT,IoH,xR,AI)の現場活用を現場実践指導、社内研修で支援しています。


「半導体技術」の他のキーワード解説記事

もっと見る
半導体工程とは

  エレクトロニクス進歩の根幹に半導体製造技術の進歩があります。半導体集積回路の素子の微細化/大容量化/高集積化など、今後ますます半導体工...

  エレクトロニクス進歩の根幹に半導体製造技術の進歩があります。半導体集積回路の素子の微細化/大容量化/高集積化など、今後ますます半導体工...


MEMSとは 【厳選記事】

  MEMSとは、厳選記事が無料でお読みいただけます!   ◆MEMSとは MEMSは、マイクロ・エレクトロニクス、マイク...

  MEMSとは、厳選記事が無料でお読みいただけます!   ◆MEMSとは MEMSは、マイクロ・エレクトロニクス、マイク...


SiCパワー半導体とは?市場の動向や今後の展望を解説

  SiCパワー半導体は、耐熱性や高電圧耐性、熱伝導性に優れた炭化ケイ素を基材とする半導体技術を指します。この記事では、SiCの特性や利用...

  SiCパワー半導体は、耐熱性や高電圧耐性、熱伝導性に優れた炭化ケイ素を基材とする半導体技術を指します。この記事では、SiCの特性や利用...